irclog2html for #openjtag on 20060120

00:00.58AchiestDragonk , by changing his design slightly  fitting 8 bit  dual voltage buffers rather than 4  it is posible to use the same circut for both the jtag and logic analizer functions , but would require a non std cable to the adaptors
00:01.39ka6sox-officeah...we should discuss this with him next time he comes onboard.
00:02.54AchiestDragonk
00:55.32*** join/#openjtag wookey_ (n=wookey@217.147.92.89)
01:17.15key2ka6sox-office: what's ep1220's board ?
01:19.35*** join/#openjtag vmaster_ (i=vmaster@p549B603A.dip.t-dialin.net)
01:20.04ka6sox-officea universal interface (voltage/connector) for JTAG.
01:20.34key2ka6sox-office: url ?
01:20.47ka6sox-officethere isn't one yet.
01:20.52ka6sox-officeits an Alpha board.
01:21.06key2what is it based on?
01:21.48key2fpga ?
01:24.37ka6sox-officeFT2232 currently
01:24.50key2it's slow
01:25.05key2i tryed jtag with it
01:25.15key2honestly, u can't really do anything with it
01:25.30key2it's almost as slow as parallal
01:25.42key2since the latency of the USB port is high
01:25.44ka6sox-officewhich is why all the discussion about how to make it faster using other methods.
01:25.54key2well
01:25.57key2then I have an idea
01:26.11key2that would be quiet good but lil more expensive
01:26.46key2ka6sox-office: you take a little FPGA and put all the JTAG operation in it (read/write/readwrite...)
01:27.13ka6sox-officethats what we are discussing
01:27.24key2you stick a little arm7 to it
01:27.27key2well
01:27.28key2i've done it
01:27.41key2i tryed first doing it with a scenix
01:27.45key2that can bitbang quiet fast
01:28.00key2but it's a 8bit microcontroller so it's not fast enough for handling everything
01:28.14key2i think the best idea is this one
01:28.22key2fpga + arm + ft2232
01:28.46key2one part of the ft2232 would be used for reprogramming the ARM the other part for communicating with it
01:29.35key2so basically you could for example if you have a MIPS, use a special binary u made with GCC to burn into the ARM, then you have your protocol with the ARM via USB but the other part of the 2232
01:30.11key2you use it as a parallel for communicating with the ARM and the serial part of the 2232 for reprogramming the arm7
01:30.50key2and then if you need to adjust the voltage of the JTAG, you use a simple double buffer..
01:31.12key2it would cost at the end about 40euros each PCB included
01:31.26ka6sox-officethere is a lot more to it since some targets provide voltage and some don't
01:31.41ka6sox-officesome targets use a 6 pin connector and some use a 20 pin connector.
01:31.45key2you can use a switch
01:31.55ka6sox-officethats one way.
01:32.00key2well 20 pins for having just 4 of them really used ?
01:32.11ka6sox-officeyes that is sometimes true.
01:32.19ka6sox-officebut its what we need to talk to.
01:32.27ka6sox-officeits not for just one platform.
01:32.34key2well then you can eventually reprogramm the ucf of the fpga
01:32.53ka6sox-officethat is a PITA
01:32.54key2and put a standard 20 pins ribbon connector
01:32.58key2PITA ?
01:33.17ka6sox-officewhat we plan on doing is putting the StD connector and adapters
01:33.29ka6sox-officethat will allow us to work universally
01:33.39ka6sox-officePain In The ARse.
01:34.12key2ASS
01:34.13key2ok
01:34.14key2got it
01:34.32key2ka6sox-office: but the board has to be something we would sell ?
01:34.42key2or it would be something that the user has to make himself ?
01:34.59ka6sox-officeeither way
01:35.10ka6sox-officeI'm up for whatever makes it go.
01:35.12key2well
01:35.15AchiestDragonjust debating if to use the high dencity ribbon cable like used on ata133 ide drives , that may give us a 80Mhz jtag clock rate
01:36.50key2it's nto that easy to get a single FPGA
01:36.50key2how fast has to be the clock rate ?
01:36.51ka6sox-officemost of the FPGA's I have will clock internally to 260mhz and externally at least 1/2 of that.
01:36.51key2PPL ?
01:37.15ka6sox-officePPL?
01:38.41key2well basically you have an external clock of 50mhz and with the PLL u get it up to 100 if you multiply by 2
01:38.43key2oh
01:38.44key2sorry
01:38.44key2PLL
01:38.45key2..
01:40.32ka6sox-officethe reference in our case is 40mhz and I'll PLL it up to 240mhz.
01:40.57key2what kind of xilinx would you use
01:41.05key2what would be big enough just for a state machine
01:41.19key2so we can put all the JTAG function in it
01:41.40AchiestDragona xc3s400-4tq144c    
01:41.53key2bga?
01:42.03AchiestDragon144pin tqfp
01:42.20key2oh k
01:42.22ka6sox-officeNO BGA...
01:42.28ka6sox-officenot possible to fix.
01:42.44key2what is it ?
01:42.48key2spartan 2 ? 3 ?
01:42.52ka6sox-office2
01:42.54ka6sox-officeer 3
01:43.28key2sounds good
01:43.35AchiestDragonand  bga would need a 4 or more layer pcb ,  its a dubble sided pcb if it routes ok , and thats looking good atm
01:44.50key2so one of those plus one ARM so anyone could programm it with a GCC for it's own stuff plus a bft2232 for reprogramming the ARM and communicating with and some bullshit for adjusing the voltage would be fine right ?
01:45.35key2and we could bitbang up to 100Mhz easy
01:46.20AchiestDragonwith the pc104 vesion   we should not need the bdi2232 unit , that woulde be a lower speed alternative  
01:46.57key210Mhz
01:47.00key2that's quiet slow
01:47.20key2plus you need sometimes to do a lot of calculation between each bit
01:47.23key2dunno if it's great
01:47.43key2oh
01:47.45key2sorry
01:47.53AchiestDragonwhat at 10mhz
01:47.54AchiestDragon?
01:48.05key2well without the ft2232 you won't be able to communicate fast
01:48.22key2or we're not talkin about the same pc104
01:49.08AchiestDragonwe got  32mb / 64mb of sdram attached to the fpga
01:49.45key2what for ?
01:49.58key2not sure you need that much for JTAG
01:49.59key2..
01:50.27key2it's not a mem issue it's more a bitbanging issue
01:50.31AchiestDragonmaybe not , but its cheaper than sram and that size is cheep
01:50.54key2gimme url of the pc104
01:51.29AchiestDragon16 bit wide , so the max bit bang rate is going to be 16 times the read / write cycle time of the ram
01:52.05key2AchiestDragon: what PC104 u talkin about ?
01:52.41ka6sox-officekey2, the RAM is helpful for doing captures and standalone operation.
01:52.43AchiestDragonthe fpga board im working on at for this
01:52.55AchiestDragonat = atm
01:55.39ka6sox-officethe S3/RAM combo will give us a lot of flexibility
01:56.14key2but the 32Mbit are not included into the FPGA
01:56.21key2that's something you wanna put apart
01:56.23key2rigjht ?
01:56.39AchiestDragonhttp://www.whipy.demon.co.uk/JTAG.PS   < block diagram of the card
01:59.48key2of what card ?
01:59.56key2don't have postscript reader
02:00.34AchiestDragonthe high speed fpga jtag tester card
02:01.03AchiestDragon<PROTECTED>
02:04.14key2who made it
02:04.53ka6sox-officewe are making it :)
02:17.03beewoolie-afkAchiestDragon: nice picture
02:18.43AchiestDragonty
05:13.39*** join/#openjtag bullet (n=bullet@210.16.78.83.cust.bluewin.ch)
06:00.06*** join/#openjtag ka6sox (n=ka6sox@nslu2-linux/ka6sox)
06:04.19ka6sox~seen Tiersten
06:04.29purltiersten is currently on #nslu2-linux (11h 42m 22s) #openjtag (11h 42m 22s) #openslug (11h 42m 22s), last said: 'The wiki hasn't been updated lately sorry'.
06:05.33ka6soxTiersten: when you have time could you please approve the url that beewoolie has on the info:JTAGprotocol page?
06:32.35*** join/#openjtag ByronT (i=byron-po@nslu2-linux/ByronT)
08:39.11ka6soxmorning
08:40.48lennertmorning
08:41.03vmastermorning
08:42.31vmastera question regarding achiest's 2-layer design - are 2 layers enough for good signal conditions?
08:49.09ka6soxvmaster, it depends
08:49.21ka6soxif done right it works just fine.
08:49.32ka6soxdone poorly its a nightmare.
08:53.35ka6soxI've done boards that operate at 450mhz in 2 sided that have no problems at all.
08:54.02vmasteroh, ok
08:55.28ka6soxI'm confident that we can do this correctly in 2 layer (and save about $40/board)
08:56.11ka6soxthe real reason for needing 4layer is if we don't have room for adequate traces for Power and Ground.
09:00.18ka6soxLennert: when you were poking around in the JTAG chain of the FPGA that you were playing with were you able to just "figure out" what they were doing?
09:03.13lennertka6sox: the s3?  yeah, pretty much.
09:03.55ka6soxdid you have bsdl files?
09:04.15lennertyup
09:04.51ka6soxhow far off were they?
09:05.22lennertit seemed pretty accurate, as far as idcode, opcodes, DR scan chain and IR format goes
09:05.36lennerti did't check _everything_ but the things i did check were very accurate
09:10.06ka6soxthanks.
09:10.19ka6soxwe had a discussion earlier about BSDL files and accuracy.
09:14.36lennertwhat part of the bsdl file would be inaccurate?
09:15.16ka6soxsometimes bit functions are either not explained or marked "reserved" with no explaination.
09:15.50lennertwell, ok, but some things you can't describe in the bsdl file anyway
09:16.01ka6soxtrue
09:16.08lennertfor example, the sp3 bsdl file doesn't explain what the CFG_IN opcode does, you need to look at the application notes for taht
09:17.45ka6soxokay so a little research and we might be able to "discover" thing that we will need for working with the various targets.
09:17.59ka6soxs/thing/things
09:19.20ka6soxtime for sleep here...nite all
09:19.50lennertnite
09:48.26*** join/#openjtag ByronT (n=byron-po@nslu2-linux/ByronT)
10:57.17*** join/#openjtag ByronT (i=byron-po@nslu2-linux/ByronT)
11:48.09*** join/#openjtag ulf_k (n=ulf_kypk@p54BDBE93.dip0.t-ipconnect.de)
11:49.03*** join/#openjtag bullet (n=bullet@210.16.78.83.cust.bluewin.ch)
12:47.36*** join/#openjtag prpplague (n=billybob@72.22.141.137)
13:58.14AchiestDragonka6sox:  ka6sox-office  ,, ping
14:14.58vmastermy best guess is that he isn't up yet
14:15.09AchiestDragonnp
14:15.18vmasterusually ~16:00 your time
14:17.39AchiestDragonk , is working on the high speed jtag board , looks like we could get a bit faster speed if we use .5" pitch ribbon cable between the board and the adaptor plug
14:19.12AchiestDragonthe cheapest option would be a 40pin (80way cable) like the ata133 ide drives use , but that is a 2" wide cable  so not realy a practical option  
14:19.45vmasterare there lvds ports available on the s3?
14:21.12*** join/#openjtag GyrosGeier (n=richter@p5499786A.dip.t-dialin.net)
14:21.48AchiestDragongrr , did not save the data sheet , 1 min
14:23.10vmasteras i understand it, lvds seems to be a common answer to the problems that arise when having to go from one board to another at higher speeds
14:32.06AchiestDragonthe fpga will do    GTL,HSTL,LVCMOS,LVTTL,PCI,SSTL and  diferential modes LDT,LVDS,LVPECL,RSDS,HSTL and SSTL
14:32.08AchiestDragonbut
14:34.01AchiestDragonthat is direct from the fpga and its buffered with a auto sencing level conveter so we will just use one standard from the fpga , and the buffers will convert the levels for us
14:38.28AchiestDragontheres more than that reason for using buffers also , in that if you blow up an input its easyer and cheaper to change a 24 pin buffer than a 144pin fpga
14:40.38AchiestDragonie when you acidentaly mistake a 10 pin rs232c header for a 10 pin jtag port and get +and -12v up the interface
14:46.36vmasterokay, the arm realview ice offers either a normal connection, or a lvds connection that uses an additional small board that plugs directly into the standard 20-pin arm header - and the faq states that this probe is necessary at speeds >=20mhz
14:47.03vmasterso the buffer converting between lvds and target voltage sits on the probe-pcb
14:48.04AchiestDragonyes , as we want the board to opperate faster the main problem is the loss of the .1" pitch cable
14:48.42AchiestDragonso the use of the .05" pitch cable is better suited
14:52.20AchiestDragonbut to use it means a diferent style connector from the board to an adaptor board with the socket to connect directly to the jtag port beeing tested
14:54.38vmasterwell, having to use adapter boards is quite likely, looking at all the different layouts
14:55.46AchiestDragonyes so not a big problem that way if the cable connector to the adaptor and the jtag test board are diferent
14:56.48AchiestDragonhttp://www.farnell.com/datasheets/66315.pdf  < this seems sutable
15:31.17ka6soxyawn
15:34.20ka6soxits too early to be up
15:34.33AchiestDragon:)
15:35.54ka6soxmawnin AchiestDragon
15:36.06ka6sox(thats Cajun for good morning)
15:37.13ka6soxAchiestDragon, I read the backlog and am worried about the same thing
15:37.30ka6soxobviously we could save some pins if we used a smaller connector.
15:37.42*** join/#openjtag GyrosGeier (n=richter@p5499786A.dip.t-dialin.net)
15:37.52ka6soxbut a 10 pin might not be the right connector
15:38.08ka6soxwe also need at least a common ground for the boards.
15:38.18ka6soxso that would be 12 pins
15:38.43ka6soxthe LVDS certianly would be very fast (think U320 SCSI)
15:39.10ka6soxwhen we crank up the speed think Terminations.
15:39.33AchiestDragonwell theres  10 , 12, 16 and 20 pin jtag connectors in use , so we are going to need an adaptor at the end of the cable anyway
15:40.14ka6soxright
15:40.37ka6soxthe current adapter (which can eventually be changed) is a 40 pin DIP one.
15:40.57ka6soxthat can change after we test.
15:41.30AchiestDragonif we drove the jtag port directly from the fpga , we would lose the 2.5ns buffer delay , but lose the protection that the buffers offer
15:43.02AchiestDragonthere could still be auto voltage setting , but may need the fpga to be configured to use them right ,, will have to read the data for the fpga see if that would be ok
15:44.28ka6soxI think that we need to keep the buffers there
15:44.37AchiestDragonyes
15:44.55ka6soxthe auto voltage and driving capability of the driver chip is very important
15:46.14AchiestDragonnot a problem , there maybe a problem with delays on the buffers , been reading the data for them
15:46.53ka6soxokaiy
15:47.12ka6soxunfortunately today is an early work day so I must go now.
15:47.17ka6soxback in 4hrs.
15:49.24AchiestDragonk
15:49.26*** join/#openjtag velinp (n=velinp@c88-163.cable.netissat.bg)
16:00.45velinpka6sox: ping
16:05.06vmaster[16:47] < ka6sox> unfortunately today is an early work day so I must go now.
16:05.06vmaster[16:47] < ka6sox> back in 4hrs.
16:05.10vmasterthat was 15 minutes ago
16:05.46velinpvmaster: thanks
16:43.25[g2]lennert hey
17:31.28velinp[g2]: ping
17:36.48[g2]velinp pong
17:37.02[g2]velinp waazz up ?
17:37.29velinphi; i'm preparing to replace redboot (hdw not yet ready :( ); which mtdblock on a nslu2 is redboot in?
17:38.47[g2]velinp do you have confirmed JTAG ?
17:39.13velinp[g2], no; I only intend to read the mtd, not write it ):
17:39.46[g2]well replacing RedBoot would be writing it in my book
17:40.21velinp[g2], ??
17:40.26vmasterhence the preparing, i guess
17:40.41vmasteri.e. he just wants to read it to have a backup
17:40.49velinpyes, I want to play safe
17:41.36velinpand read in yesterday's log that maybe a byte/word swap was necessary; is it?
17:42.00velinpI mean if I need to reflash the readboot later
17:42.35[g2]the kernel console output prints out the partitions
17:42.44[g2]it's the first one numbered 0
17:43.04[g2]so,  dd if=/dev/mtdblock0 of=redboot.bin
17:43.17[g2]backs up your Redboot to the file redboot.bin
17:43.41velinpit is 256K, md5 is 3785ddc9def010c8ab108855fce0d9ca, but it includes my MAC?
17:43.48[g2]it is VERY IMPORTANT to check the if versus the of !!!
17:44.04[g2]yeah near the end
17:44.33[g2]I think the first 254+K are identical for all NSLU2 users
17:44.55[g2]it's probably only the last 100/140 bytes that are different
17:46.18velinpmy md5 on the first 254 K is f063eb7f8c77d3e38bf250d25edf3a1b; could you confirm (as I only have 1 slug)
18:09.06[g2]velinp I haven't touched the slugs in 4-5 months... I'm running the Loft
18:10.53velinp[g2]: ok, thanks and good luck with the loft; do you think beewoolie might be able/willing to help me?
18:11.46[g2]velinp as in openslug
18:12.12velinpyes, i am
18:35.25*** join/#openjtag dwery (n=dwery@nslu2-linux/dwery)
18:36.03*** join/#openjtag beewoolie-afk (n=beewooli@206.124.142.26)
18:41.40velinpbeewoolie: hi; care to help me verify the redboot image I dumped off mtdblock0 ?
18:44.09*** join/#openjtag Tiersten (n=tman@nslu2-linux/Tiersten)
18:55.27beewoolie-afkvelinp: I'm not sure I can help.
18:55.56beewoolie-afkYou can pull the redboot image from an openslug flash image.
18:57.50velinpbeewolie-afk: i have done upslug -u several times; i thought it did not touch redboot
19:04.44prpplaguebeewoolie-afk: hey hey
19:04.48beewoolie-afkpoenhey
19:04.48prpplaguebeewoolie-afk: whats cookin?
19:04.51beewoolie-afkhey..
19:04.56prpplaguehehe
19:04.59beewoolie-afkBeen hacking on the JTAG flash code.
19:05.03beewoolie-afkIt's coming along.
19:05.06prpplaguebeewoolie-afk: cool
19:05.27beewoolie-afkMy goal, for the moment, is to determine how fast I can flash this way using a parallel port JTAG interface.
19:05.35beewoolie-afkThis will give me a baseline for comparison.
19:05.41prpplaguebeewoolie-afk: cool
19:09.19beewoolie-afkprpplague: et tu?
19:17.00prpplaguebeewoolie-afk: finally got full RW access to buildroot,busybox,uclibc and such, so i've been uploading a ton of fixes
19:17.51prpplaguebeewoolie-afk: trying to get rid of this legacy usb issue, its like a nasty bugger on my finger, just can't seem to get rid of it
19:21.10beewoolie-afkbummer
19:21.36prpplaguebeewoolie-afk: probably get you a patch saturday after noon for the s3c2410
19:21.50prpplaguebeewoolie-afk: and then sunday probably the mmc/sd patch for the lnode80
19:21.56beewoolie-afknp.
19:22.20prpplaguebeewoolie-afk: i'm getting ready to check in apex to buildroot
19:22.33beewoolie-afkAwesome!
19:23.27beewoolie-afkBTW, Voodoo_Z flashed apex into his slug and finds that it works.  It boots faster than RedBoot, so he was motivated to use it.
19:24.08prpplaguehehe
19:24.27prpplaguebeewoolie-afk: well if you have any busybox,buildroot issues, let me knwo
19:24.39beewoolie-afkNot at the moment.
19:24.55beewoolie-afkI have been interested in  uclib, but it's too low priority for me to get into it.
19:30.54prpplaguebeewoolie-afk: buildroot works great
19:31.02prpplaguebeewoolie-afk: i add the lnode80 to the build
19:31.13prpplaguebeewoolie-afk: so adding the other lh7952x devices would be easy
19:31.21beewoolie-afkThat isn't what I'm looking for.
19:31.31beewoolie-afkI'd like to build a uclib toolchain for the Sharp BSp.
19:31.37prpplaguebeewoolie-afk: right
19:31.41prpplaguebeewoolie-afk: thats what this does
19:31.54beewoolie-afkIt's just that I've been lazy about updating the BSP to cope with anything other than crosstool.
19:32.02prpplaguebeewoolie-afk: ahh
19:32.15beewoolie-afkas I wrote, it's very low priority.
19:32.28beewoolie-afkIf someone wants a small development system, they can experiment on their own.
19:32.39beewoolie-afkI mean, small runtime.
19:32.47prpplagueyea
19:33.07prpplaguewell like i said its as easy as pie to do, not like getting OE running
19:33.47beewoolie-afkI looked at buildroot when I wrote the BSP.  I don't recall why I chose not to use it.  There was a show stopper there somewhere.
19:34.17beewoolie-afkand believe me, I'd have used someone else's solution if I could have.
19:40.24prpplaguehehe
19:43.02beewoolie-afkDamn redboot.  Their network code is quite buggy.
20:37.44*** part/#openjtag velinp (n=velinp@c88-163.cable.netissat.bg)
21:22.16ka6sox-officeugh...back from the mines
21:50.38beewoolie-afklennert: ping?
23:00.56lennertpong
23:03.07lennertbeewoolie-afk: pong
23:04.17*** join/#openjtag Tiersten (n=tman@nslu2-linux/Tiersten)
23:04.24[g2]lennert hey
23:05.29[g2]lennert I'll be getting a spec for the Parallel FPGA dongle next week and a can get several devices
23:06.49lennertokay, cool
23:06.55lennertwhat do they cost each?

Generated by irclog2html.pl by Jeff Waugh - find it at freshmeat.net! Modified by Tim Riker to work with blootbot logs, split per channel, etc.